19 research outputs found

    Design of a CNFET Array for Sensing and Control in P450 based Biochips for multiple drug detection

    Get PDF
    Bio-detection specially dedicated to distributed diagnostics is emerging as a quite important application for Nanobioelectronics. Bio-detection is required to be highly sensitive in order to succeed in sensing small amount of bio-markers in patient’s blood sample. Carbon Nanotubes (CNTs) provides devices in the scale of the target molecules, thereby opening up possibilities to sense few bio-markers. Moreover, bio-detection is also required to be highly specific in order to succeed in disease discrimination. FET technology provides control in multi-panel biochip to enhance specificity. The aim of the present paper is to design an array of Carbon Nanotube Field Effect Transistors (CNFETs) to provide nano-biosensing based on cytochromes P450. In particular, a novel CNFET biosensor array design is proposed which is robust to the imperfections in the CNTs thereby achieving high level of sensitivity. The proposed CNFET based design assures the improved specificity by means of a multi-gate controller at the nano-scale. The proposed application in distributed diagnostics is on detection of drugs in multi-components samples by multiplexing different P450 probes

    Cell Transformations and Physical Design Techniques for 3D Monolithic Integrated Circuits

    Get PDF
    3D monolithic integration (3DMI), also termed as sequential integration, is a potential technology for future gigascale circuits. In 3DMI technology the 3D contacts, connecting different active layers, are in the order of few 100 nm. Given the advantage of such small contacts, 3DMI enables fine-grain (gate-level) partitioning of circuits. In this work we present three cell transformation techniques for standard cell based ICs with 3DMI technology. As a major contribution of this work, we propose a design flow comprising of a cell transformation technique, cell-on-cell stacking, and a physical design technique (CELONCELPD) aimed at placing cells transformed with cell-on-cell stacking. We analyze and compare various cell transformation techniques for 3DMI technology without disrupting the regularity of the IC design flow. Our experiments demonstrate the effectiveness of CELONCEL design technique, yielding us an area reduction of 37.5%, 16.2% average reduction in wirelength, and 6.2% average improvement in overall delay, compared with a 2D case when benchmarked across various designs in 45nm technology node

    System-Level Design for Nano-Electronics

    Get PDF
    Latest fabrication technologies of self-assembly nano-circuits (carbon nanotubes, silicon nanowires, etc.) have deployed bottom-up techniques that reach feature sizes well below 65nm, holding great promise for future large silicon-based integrated circuits. However, new nano-devices intrinsically have much higher failure rates than CMOS-based ones. Thus, new design methodologies must address the combination of devicelevel error-prone technologies with system integration constraints (low power, performance) to deliver competitive devices at the nanometer scale. In this paper we show that a very promising way to achieve nano-scale devices is combining imperfection-aware design techniques during fabrication with gate defect modeling at circuit level. Our results using this approach to define a Carbon Nanotube Field-Effect Transistor (CNFET)-based design flow for nanoscale logic circuits attain more than 3x energy-delay-product advantage compared to 65nm CMOS-based ones

    GMS: Generic Memristive Structure for Non-Volatile FPGAs

    Get PDF
    The invention of the memristor enables new possibilities for computation and non-volatile memory storage. In this paper we propose a Generic Memristive Structure (GMS) for 3-D FPGA applications. The GMS cell is demonstrated to be utilized for steering logic useful for multiplexing signals, thus replacing the traditional pass-gates in FPGAs. Moreover, the same GMS cell can be utilized for programmable memories as a replacement for the SRAMs employed in the look-up tables of FPGAs. A fabricated GMS cell is presented and its use in FPGA architecture is demonstrated by the area and delay improvement for several architectural benchmarks

    CELONCEL: Effective Design Technique for 3-D Monolithic Integration targeting High Performance Integrated Circuits

    Get PDF
    3-D monolithic integration (3DMI), also termed as sequential integration, is a potential technology for future gigascale circuits. Since the device layers are processed in sequential order, the size of the vertical contacts is similar to traditional contacts unlike in the case of parallel 3-D integration with through silicon vias (TSVs). Given the advantage of such small contacts, 3DMI enables manufacturing multiple active layers very close to each other. In this work we propose two different strategies of stacking standard cells in 3-D without breaking the regularity of the conventional design flow: a) Vertical stacking of diffusion areas (Intra-Cell stacking) that supports complete reuse of 2-D physical design tools and b) vertical stacking of cells over others (Cell-on-Cell stacking). A placement tool (CELONCEL-placer) targeting the Cell-on-Cell placement problem is proposed to allow high quality 3-D layout generation. Our experiments demonstrate the effectiveness of CELONCEL technique, fetching us an area gain of 37.5%, 15.51% reduction in wirelength, and 13.49% improvement in overall delay, compared with a 2-D case when benchmarked across an interconnect dominated low-densityparity-check (LDPC) decoder at 45nm technology node

    Process/Design Co-optimization of Regular Logic Tiles for Double-Gate Silicon Nanowire Transistors

    Get PDF
    Ambipolar transistors with on-line configurability to n-type and p-type polarity are desirable for future integrated circuits. Regular logic tiles have been recognized as an efficient layout fabric for ambipolar devices. In this work, we present a process/design co-optimization approach for designing logic tiles for double-gate silicon nanowire field effect transistors (DG- SiNWFET) technology. A compact Verilog-A model of the device is extracted from TCAD simulations. Cell libraries with different tile configurations are mapped to study the performance of DG-SiNWFET technology at various technology nodes. With an optimal tile size comprising of 6 vertically-stacked nanowires, we observe 1.6x improvement in area, 2x decrease in the leakage power and 1.8x improvement in delay when compared to Si- CMOS

    Advances, Challenges and Opportunities in 3D CMOS Sequential Integration

    Get PDF
    3D sequential integration enables the full use of the third dimension thanks to its high alignment performance. In this paper, we address the major challenges of 3D sequential integration: in particular, the control of molecular bonding allows us to obtain pristine quality top active layer. With the help of Solid Phase Epitaxy, we can match the performance of top FET, processed at low temperature (600°C), with the bottom FET devices. Finally, the development of a stable salicide enables to retain bottom performance after top FET processing. Overcoming these major technological issues offers a wide range of applications

    Layout Technique for Double-Gate Silicon Nanowire FETs With an Efficient Sea-of-Tiles Architecture

    No full text

    Emerging Interconnect Technologies

    No full text
    corecore